首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > FPGA驱动1602液晶

FPGA驱动1602液晶

资料介绍
FPGA驱动1602液晶

FPGA驱动1602液晶

[pic]
为了方便大家的学习与交流,以及应朋友的要求,现利用MIAO-A FPGA
实验板做1602液晶驱动的扩展实验,共显示了三个内容:
1、FPGA/CPLD study By MIAOSHANLIN(我的名字,嘻嘻)
2、QQ:330495908 Tel:13936509381(都是我的号码,有
事可以联系)
3、 个人主页
程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity LCD1602 is
  Port ( CLK : in std_logic;  --
状态机时钟信号,同时也是液晶时钟信号,其周期应该满足液晶数据的建立时间
  Reset:in std_logic;  
         LCD_RS : out std_logic; --寄存器选择信号
         LCD_RW : out std_logic; --液晶读写信号
         LCD_EN : out std_logic; --液晶时钟信号
         LED: out std_logic;
         LCD_Data : out std_logic_vector(7 downto 0));  --液晶数据信号
end LCD1602;
architecture Behavioral of LCD1602 is
  type state is
(set_dlnf,set_cursor,set_dcb,set_cgram,write_cgram,set_ddram,write_LCD_Data)
;
  signal Current_State:stat
标签:FPGALCD
FPGA驱动1602液晶
本地下载

评论

lphxy· 2010-11-03 14:52:50
谢谢