首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > fpga

fpga

资料介绍
fpga,cpld
Quartus 中 fft ip core 的使用
在论坛中经常有人会问起 altera 软件 fft ip 中使用方法,有些人在使用这个 fft ip core 的
时候没有得到正确的结果,事实上,这个 ip core 还是比较容易使用的。有些人得不到正确
的仿真结果可能是没有设定足够的仿真时间,如果控制信号设定正确的话,一般情况下,可
以设定 3-4 帧的仿真时间就可以看到正确的结果了。 另外一些人是没有处理好 fft ipcore 的一
些输入信号而得不到正确结果的。要使用好这个 ip core 主要处理好以下些信号:
1、 sink_sop:用来指示每帧输入数据的第一个数据
2、 sink_eop:用来指示每帧输入数据的最后一个数据
3、 sink_valid:每帧输入数据有效时为高电平
可以采用状态机来控制这些信号,或者也可以采用一个计数器来产生这些信号,用以满
足 fft ip core 的信号要求。
Ff t ip core 有多种工作模式,其中 burst data 模式耗用的资源最少, 但处理的时间也最长。
下面我就以这个 ipcore 的 burst data 为例给大家说明一下用 fft ipcore 来进行 256 点的 fft 运算。
使用的软件为 quartusII8.0sp1,仿真工具为 modelsim se plus 6.4
1、 首先,新建一下工程,比如工程的名称为 fft256(怎么建工程不用我再说了吧) ,器件选
择 cyclone 的 ep1c3t144c8(因为手上有这个片子的板子,所以就选了它) ,如图 1-1 所示




标签:fpgacpld
fpga
本地下载

评论