首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 汽车电子 > 汽车尾灯VHDL设计

汽车尾灯VHDL设计

资料介绍
汽车尾灯VHDL设计

汽车尾灯VHDL设计

1.系统设计要求

用6个发光管模拟6个汽车尾灯(左右各3个),用4个开关作为汽车控制信号,分别为:左
拐、右拐、故障和刹车。车匀速行驶时,6个汽车尾灯全灭;右拐时,车右边3个尾灯从
左至右顺序亮灭;左拐时,车左边3个尾灯从右至左顺序亮灭;故障时车6个尾灯一起明
灭闪烁;刹车时,6个尾灯全亮

2.系统设计方案

根据系统设计要求,采用自顶向下设计方法,顶层设计采用原理图设计,它由主控模块
、左边灯控制模块和右边灯控制模块三部分组成。

3参考VHDL源程序

(1) 主控制模块

说明:此程序为系统主控制模块。当左转时,lft信号有效;右转时,rit信号有效;当
左右信号都有效的时,lr有效。

library ieee;

use ieee.std_logic_1164.all;

entity kz is

port(left,right:in std_logic;

lft,rit,lr:out std_logic);

end kz;

architecture kz_arc of kz is

begin

process(left,right)

variable a:std_logic_vector(1 downto 0);

begin

a:=left&right;

case a is

when"00"=>lft<=‘0’;

rit<=‘0’;

lr<=‘0’;

when"10"=>lft<=‘1’;

rit<=‘0’;

lr<=‘0’;

when"01"=>rit<=‘1’;

lft<=‘0’;

lr<=‘0’;

when others=>rit<=‘1’;

lft<=‘1’;

lr<=‘1’;

end case;

end process;

end kz_arc;

(2)左边
标签:汽车尾灯VHDL设计
汽车尾灯VHDL设计
本地下载

评论