首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > 任意整数分频模块

任意整数分频模块

资料介绍
任意整数分频模块
//*******************************************************//
// 任意整数分频模块 //
//*******************************************************//
//功能:对输入时钟 clock 进行 F_DIV 倍分频后输出 clk_out。
//其中 F_DIV 为分频系数,分频系数范围为 1~2^n (n=F_DIV_WIDTH)
//若要改变分频系数,改变参数 F_DIV 或 F_DIV_WIDTH 到相应范围即可。
//若分频系数为偶数,则输出时钟占空比为 50%;
//若分频系数为奇数,则输出时钟占空比取决于输入时钟占空比和分
//频系数(当输入为 50%时,输出也是 50%) 。
//--------------------------------------------------------
//奇数倍分频:三倍分频的时序图如下所示。
// 1 2 3 4 5 6
//clock |--|__|--|__|--|__|--|__|--|__|--|__|
//clk_p_r |_____|-----------|_____|-----------|
//clk_n_r ---|_____|-----------|_____|---------
//clk_out |________|--------|________|--------|

module
任意整数分频模块
本地下载

评论