首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 常用文档 > 基于FPGA的步进电机控制器设计

基于FPGA的步进电机控制器设计

资料介绍
基于FPGA的步进电机控制器设计
基于 $:M" 的步进电机控制器设计
" 青岛科技大学 !! 金元郁 ! 李勇 ! 李园园




步进电机是一种将 电 脉 冲 信 号 转 换 成 相 应 的 角 位 移 如下 )
的特殊电机 #每改变 一 次 通 电 状 态 #步进电机的转子就转 23
43,.&- - ! 控制器与单片机等设备的总线接口 +
动一步 $ 目前大多数 步 进 电 机 控 制 器 需 要 主 控 制 器 发 送 5
6 ! 片选信号 #低电平有效 +
时钟信号 #并且要至少一个 R
% A 口来辅助控制和监控步进 7%!写信号 #低电平有效 +
电机的运行情 况 $ 在 单 片 机 或 < 7Q 的 应 用 系 统 中# 经常 %
(6(
4 ! 复位信号 #低电平有效 +
配合 MQS< 或者 =QI ; 来 实 现 特 定 的
标签:FPGA电机控制
基于FPGA的步进电机控制器设计
本地下载

评论