首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 消费类电子 > 基于FPGA的数字时钟的设计

基于FPGA的数字时钟的设计

资料介绍
基于FPGA的数字时钟的设计
云 南 大 学 学 报 ( 自 然 科 学 版 ) , 2009, 31 ( S2) : 43 ~45 CN 53 - 1045 / N   ISSN 0258 - 7971
Journa l of Y unnan Un iversity



3
基于 FPGA 的数字时钟的设计

薛晓军 , 许江淳 , 李玉惠 , 李  勃 , 刘国贺
(昆明理工大学 信息工程与自动化学院 ,云南 昆明  650051 )


摘要 : 在 Quartus Ⅱ开发环境下 ,用 Verilog HDL 硬件描述语言设计了一个可以在 FPGA 芯片上实现的数字
时钟 . 通过将设计代码下载到 FPGA 的开发平台 A ltera DE2 开发板上进行了功能验证 . 由于数字时钟的通用性
及 Verilog HDL 语言的可移植性 ,因此本数字时钟可直接应用于各种不同系列的 FPGA 芯片的设计中 .
关键词 : 数字时钟 ; Verilog HDL; FPGA; Quartus Ⅱ
中图分类号 : TP 391. 9   文献标识码 : A   文章编号 : 0258 - 7971 (2009) S2 - 0043 - 03


标签:FPGA数字时钟
基于FPGA的数字时钟的设计
本地下载

评论