首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > ModelSim_SE仿真Altera库的建立

ModelSim_SE仿真Altera库的建立

资料介绍
ModelSim_SE仿真Altera库的建立

注:最近自己准备做后仿真,到坛子里看看了,发现一开始建库就是一个很大的问题。
为了便以自己学习也为了给大家省点事,我花了十来个小时整理了一些资料,全部资料
来自edacn.net/bbs的ModelSim的〈库〉,在下面的资料中不能一一列出作者,请各位网
友多多包涵。下面的资料是大家的成果。by CHY 07.04.05)
1. modelsim怎么调用altera的库仿真啊?(megafunctions)
以前有个帖子说把quartus安装目录下的sim文件夹里面的文件编译进modelsim里面就可
以了,可是sim文件夹里面我要的那个函数不是.v文件啊,还有他里面的一些.vhd文件怎
么编译错误啊?
是eda/sim_lib里,编译错误,我想是你编译的顺序不对
用EDA/SIM_LIB中文件直接放到PROJECT中,你需要看看它的告错信息。一般是缺库。你
可以按提示缺的库,在FILE/NEW/LIBRARY菜单里创建一个映射到WORK的库。这样一般就
好了。
如何在modelsim里如altera的库中做后仿真啊,急死了
我用synplify综合后,用modelsim做后仿真,我在modelsim里面加入了C:\quartus\eda
\sim_lib\modelsim\vhdl里面的两个库,但是编译的时候还是提示我找不到library
apex20k。还要加什么库啊??? 郁闷死了
vlib apex20k
vmap apex20k apex20k
vcom -work apex20k c:/quartus/eda/sim_lib/apex20k_atoms.vhd
vcom -work apex20k c:/quartus/eda/sim_lib/apex20k_components.vhd
谢谢i8086,我现在知道怎么加入altera的库了,但是错误依然在,不
ModelSim_SE仿真Altera库的建立
本地下载

评论