首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 常用文档 > 怎样写testbench-xilinx的

怎样写testbench-xilinx的

资料介绍
嵌入式系统
怎样写 testbench


本文的实际编程环境:ISE 6.2i.03
ModelSim 5.8 SE
Synplify Pro 7.6
编程语言 VHDL
在 ISE 中调用 ModelSim 进行仿真

一、 基本概念和基础知识
Testbench 不仅要产生激励也就是输入,还要验证响应也就是输出。当然也可以只产生
激励,然后通过波形窗口通过人工的方法去验证波形,这种方法只能适用于小规模的设计。

在 ISE 环境中,当前资源操作窗显示了资源管理窗口中选中的资源文件能进行的相关操
作。在资源管理窗口选中了 testbench 文件后,在当前资源操作窗显示的 ModelSim
Simulator 中显示了 4 种能进行的模拟操作,分别是:Simulator Behavioral Model(功能
仿真) 、Simulator Post-translate VHDL Model(翻译后仿真)、Simulator Post-Map VHDL
Model(映射后仿真) 、Simulator Post-Place & Route VHDL Model(布局布线后仿真)。如
图 1 所示:




图1

l Simulator Behavioral Model 也就是所说的功能仿真、行为仿真、前仿真。验证
功能是否正确,这是设计的第一步。功能仿真正确的程序不一定能被正确综合,也
就是硬件实
标签:testbenchxilinx
怎样写testbench-xilinx的
本地下载

评论