首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 常用文档 > Inout双向端口的用法

Inout双向端口的用法

资料介绍
DSP/FPGA

双向电路设计经验小结
发布日期:2009-3-22 11:04:50 文章来源:搜电 浏览次数: [pic]213
[pic][pic][pic]在工程应用中,双向电路是设计者不得不面对的问题.在实际应用中,数
据总线往往是双向的.如何正确处理数据总线是进行时序逻辑电路设计的基础.在程序设
计过程中,关键技术在于:实体部分必须对端口属性进行申明,端口属性必须为inout类型
,在构造体需要对输出信号进行有条件的高阻控制.在双向电路的处理问题上,常用的处理
方式有两种,在介绍双向电路的处理方式之前,先看看双向电路的基本格式:
ENTITY bidir_pin IS
(
bidir : INOUT std_logic;
oe, clk, from_core : IN std_logic;
to_core : OUT std_logic;
……
END bidir_pin;
ARCHITECTURE behavior OF bidir_pin IS
BEGIN
bidir <= from_core WHEN oe=‘1’ ELSE “ZZZZ”;
to_core <= bidir;
_
_
_
END behavior;
 
该程序揭示了双向电路的处理技巧,首先在实体部分bidir属于双向信号,在端口定义时,
端口属性为inout类型,即把bidir信号作为输入三态输出. 语句“bidir <= from_core
WHEN oe=‘1’ ELSE “ZZZZ”;”表示bidir信号三态输出,语句”to_core <=
bidir;”把bidir信号作为输入信号.
 由此可见,双向电路在程序设计中,didir输入当着普通的in类型,而在输出时,需要加一
定的控制条件,三态输出.问题的关键在于:如何确定这个条件?
1)双向信号作一个信号的输入,作另一信号的输出
ENT
Inout双向端口的用法
本地下载

评论