首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 常用文档 > 基于FPGA的多路模拟量、数字量采集与处理系统

基于FPGA的多路模拟量、数字量采集与处理系统

资料介绍
EDAPLD论文

用CPLD实现单片机读写模块
摘要:介绍实现单片机与Xilinx公司XC9500系列可编程逻辑器件的读写逻辑功能模块的
接口设计,以及Xilinx公司的XC9500系列可编程逻辑器件的开发流程。
    关键词:复杂可编程逻辑电路 微处理器 在系统编程 现场可编程门阵列
1 概述
   
CPLD(复杂可编程逻辑电路)是一种具有丰富的可编程I/O引脚的可编程逻辑器件,具有
在系统可编程、使用方便灵活的特点;不但可实现常规的逻辑器件功能,还可实现复杂
的时序逻辑功能。把CPLD应用于嵌入式应用系统,同单片机结合起来,更能体现其在系
统可编程、使用方便灵活的特点。CPLD同单片机接口,可以作为单片机的一个外设,实
现单片机所要求的功能。例如,实现常用的地址译码、锁存器、8255等功能;也可实现
加密、解密及扩展串行口等单片机所要求的特殊功能。实现嵌入式应用系统的灵活性,
也提高了嵌入式应用系统的性能。
CPLD(复杂可编程逻辑电路)是一种具有丰富的可编程I/O引脚的可编程逻辑器件,
具有在系统可编程、使用方便灵活的特点;不但可实现常规的逻辑器件功能,还可实现
复杂的时序逻辑功能。把CPLD应用于嵌入式应用系统,同单片机结合起来,更能体现其
在系统可编程、使用方便灵活的特点。CPLD同单片机接口,可以作为单片机的一个外设
,实现单片机所要求的功能。例如,实现常用的地址译码、锁存器、8255等功能;也可
实现加密、解密及扩展串行口等单片机所要求的特殊功能。实现了嵌入式应用系统的灵
活性,也提高了嵌入式应用系统的性能。

2 Xilinx公司的可编程逻辑器件
Xilinx公司的XC9500系列可编程逻辑器件是一款高性能、有特点的可编程逻辑器件
。它的系统结构如图1所示。从结构上看,它包含三种单元:宏单元、可编程I/O单元和
可编程的内部连线。它的主要特点是:
基于FPGA的多路模拟量、数字量采集与处理系统
本地下载

评论