首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 常用文档 > Verilog HDL语法参考

Verilog HDL语法参考

资料介绍
Verilog HDL语法参考
下载



附录 语 法 参 考
本附录提供了 Verilog HDL 语言的所有语法。

关键词
以下是 Verilog HDL 硬件描述语言的关键词。注意,只有小写的名字才是关键词。
always and assign
begin buf bufif0 bufif1
case casex casez cmos
deassign default defparam disable
edge else end endcase endmodule
endfunction endprimitive endspecify endtable endtask
event
for f o rc e f o re v e r fork function
highz0 highz1
if ifnone initial in
标签:VerilogHDL语法文法关键词
Verilog HDL语法参考
本地下载

评论

遥远的爱情· 2010-04-12 14:42:54
资料不错,正需要呢
majibutt· 2010-02-24 23:34:03
骗人的,不能下载!
baby821· 2009-12-14 13:33:13
怎么不能下载
wanwendian· 2009-07-07 21:12:46
好东西