首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 常用文档 > 用VHDL设计快速BCD码加法器

用VHDL设计快速BCD码加法器

资料介绍
介绍了一种多位BCD码快速加法器的设计方法,并给出了3位BCD码加法器的VHDL源程序和在Foundation Series 3.1i环境中的模拟结果。
标签:VHDLBCD程序
用VHDL设计快速BCD码加法器
本地下载

评论

wmf000000· 2010-08-12 11:32:41
thanks!!!!
ilovethisearth· 2009-10-05 15:11:27
很好很实用