首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > 采用等精度测频原理的频率计程序与仿真

采用等精度测频原理的频率计程序与仿真

资料介绍
采用等精度测频原理的频率计程序与仿真

8.17 采用等精度测频原理的频率计的程序与仿真
[pic]
--文件名:PLJ.vhd。
--功能:4位显示的等精度频率计。
--最后修改日期:2004.4.14。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity PLJ is
port(clk:in std_logic; --基准时钟(10KHz)
tclk:in std_logic; --被测信号
start:in std_logic; --复位信号
alarm0,alarm1:out std_logic; --超量程,欠量程显示
dian:out std_logic_vector(3 downto 0); --小数点
data1:out integer range 0 to 9999); --频率数据
end PLJ;
architecture behav of PLJ is
signal q:integer range 0 to 9999; --预置闸门分频系数
signal q1:integer range 0 to 10000; --被测信号计数器
signal q2:integer range 0 to 20000; --基准信号计数器
signal en,en1:std_logic; --预
采用等精度测频原理的频率计程序与仿真
本地下载

评论

scstevenson· 2013-07-26 20:27:29
学习