首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > 频率计程序设计与仿真

频率计程序设计与仿真

资料介绍
频率计程序设计与仿真

8.16 数字频率计VHDL程序与仿真
[pic]
--文件名:plj.vhd。
--
功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的

--高4位进行动态显示。小数点表示是千位,即KHz。
--最后修改日期:2004.4.9。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity plj is
port ( start:in std_logic; --复位信号
clk :in std_logic; --系统时钟
clk1:in std_logic; --被测信号
yy1:out std_logic_vector(7 downto 0); --八段码
w1 :out std_logic_vector(3 downto 0)); --数码管位选信号
end plj;
architecture behav of PLj is
signal b1,b2,b3,b4,b5,b6,b7:std_logic_vector(3 downto 0); --十进制计数器
signal bcd:std_logic_vector(3 downto 0); --BCD码寄存器
signal q :integer range 0 to 49999999; --秒分频系数
signal qq : integer range 0 to 499999; --动态扫描
频率计程序设计与仿真
本地下载

评论