首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > MASK调制VHDL程序及仿真

MASK调制VHDL程序及仿真

资料介绍
MASK调制VHDL程序及仿真

8.12 MASK调制VHDL程序与仿真
见随书所附光盘中文件:8.12 MASK调制VHDL程序与仿真。
--文件名:PL_MASK
--功能:基于VHDL硬件描述语言,对基带信号进行MASK调制
--说明:这里MASK中的M为4
--最后修改日期:2004.2.13
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_MASK is
port(clk :in std_logic; --系统时钟
start :in std_logic; --开始调制信号
x :in std_logic; --基带信号
y :out std_logic_vector(7 downto 0)); --8位DAC数据
end PL_MASK;
architecture behav of PL_MASK is
signal q:integer range 0 to 7; --计数器
signal qq:integer range 0 to 3; --计数器
signal xx:std_logic_vector(3 downto 0); --并行数据寄存器
signal yy:std_logic_vector(7 downto 0); --8位DAC数据寄存器
begin
process(clk)
MASK调制VHDL程序及仿真
本地下载

评论