首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > TLC7524接口电路程序

TLC7524接口电路程序

资料介绍
TLC7524接口电路程序

8.7 TLC7524接口电路程序
见随书所附光盘中文件:TLC7524VHDL程序与仿真。
--文件名:TLC7524.VHD
--功能:产生156.25KHz的正弦波。
--最后修改日期:2004.3.18。
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity TLC7524 is
port( clk :in std_logic; --
系统时钟
rst :in std_logic; --
复位信号
data_out:out std_logic_vector(7 downto 0)); --
波形数据
end TLC7524;
architecture behav of TLC7524 is
signal b:integer range 0 to 63; --
地址计数器
signal q:integer range 0 to 4; --计数器
signal d:integer range 0 to 255; --
波形数据寄存器
begin
process(clk)
TLC7524接口电路程序
本地下载

评论