首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > DAC0832 接口电路程序

DAC0832 接口电路程序

资料介绍
DAC0832 接口电路程序

8.6 DAC0832 接口电路程序
见随书所附光盘中文件:DAC0832VHDL程序与仿真。
--文件名:DAC0832.VHD
--功能:产生频率为762.9Hz的锯齿波。
--最后修改日期:2004.3.18。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity DAC0832 is
port(clk:in std_logic; --系统时钟
rst:in std_logic; --复位信号
ile:out std_logic; --数据锁存允许信号
cont:out std_logic; --
控制信号(WR1、WR2、CS、Xfer)
data_out:out std_logic_vector(7 downto 0)); --波形数据输出
end DAC0832;
architecture behav of DAC0832 is
signal q:integer range 0 to 63; --计数器
signal data:std_logic_vector(7 downto 0); --波形数据
begin
process(clk)
begin
if rst='1' then q<=0;
DAC0832 接口电路程序
本地下载

评论

qaz4085199· 2009-08-19 11:25:13
怎么不能下载呢