首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > TLC5510 VHDL控制程序

TLC5510 VHDL控制程序

资料介绍
TLC5510 VHDL控制程序

8.5 TLC5510 VHDL控制程序
见随书所附光盘中文件:TLC5510VHDL程序与仿真。
--文件名:TLC5510.vhd
--功能:基于VHDL语言,实现对高速A/D器件TLC5510控制
--最后修改日期:2004.3.20
library ieee;
use ieee.std_logic_1164.all;
entity tlc5510 is
port(clk :in std_logic; --系统时钟
oe :out std_logic; --TLC5510的输出使能/OE
clk1:out std_logic; --TLC5510的转换时钟
din:in std_logic_vector(7 downto 0); --
来自TLC5510的采样数据
dout:out std_logic_vector(7 downto 0)); --FPGA数据输出
end tlc5510;
architecture behav of tlc5510 is
signal q:integer range 3 downto 0;
begin
process(clk) --此进程中,把CLK
进行4分频,得到TLC5510的转换时钟
begin
if clk'event and clk='1' then
if q=3 then q<=0;
else q<=q+1;
end if;
en
标签:TLC5510VHDL高速AD
TLC5510 VHDL控制程序
本地下载

评论