首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > 8.4 ADC0809 VHDL控制程序

8.4 ADC0809 VHDL控制程序

资料介绍
8.4 ADC0809 VHDL控制程序

8.4 ADC0809 VHDL控制程序
见随书所附光盘中文件:ADC0809VHDL程序与仿真。
--文件名:ADC0809.vhd
--功能:基于VHDL语言,实现对ADC0809简单控制
--说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系
--统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。
--最后修改日期:2004.3.20
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity ADC0809 is
port ( d : in std_logic_vector(7 downto 0); --
ADC0809输出的采样数据
clk,eoc : in std_logic; --
clk为系统时钟,eoc为ADC0809转换结束信号
clk1,start, ale,en: out std_logic; --
ADC0809控制信号
abc_in :in std_logic_vector(2 downto 0); --
模拟选通信号
abc_out :out std_logic_vector(2 downto 0); --
ADC0809模拟信号选通信号
标签:ADC0809VHDLFPGA系统时钟
8.4 ADC0809 VHDL控制程序
本地下载

评论