首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 集成电路分析与设计 > 电子密码锁设计实验

电子密码锁设计实验

资料介绍
EDA课程设计报告 - 电子密码锁设计实验
EDA 课程设计报告 - 电子密码锁设计实验
一、设计说明:
本实验中说要求设计的电子密码锁密码为 4 位,由三大部分组成,每一部分又包含了若干子电路,将各
电路组合起来,就构成了一个整体。
1、矩阵键盘接口电路设计
由于硬件电路没有提供该矩阵键盘,用开关代替该部分电路。
2、密码锁的控制电路设计
3、输出七段显示电路的设计
4、密码锁功能说明:
①、数据输入:每按一个数字键,就输入一个数值,并在显示器上的最右上方显示出该数字,并将先前
已经输入的数据依序左移一个数字位置。
②、数码清除:按下此键可以清除前面所有的输入值,清除成为“0000”。
③、密码更改:按下此键时将目前的数字设定成新的密码。
④、激活电锁:按下此键可将密码锁上锁。
⑤、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。


二、硬件说明
本设计所需的硬件主要有:矩阵键盘、七段译码器、发光二极管(用来模拟电子锁,红灯亮为加锁,绿
灯亮为解锁)、EPM7128LC84-6 适配器。
注:实际使用的为 EDA 试验箱,没有矩阵键盘,没有七段译码器,有发光二极管,仅有红色,芯片为
EPM7128LC84-15。


三、设计内容
程序包括六个逻辑元件,分别为:数字按键输入、控制功能按键输入、时钟分频器、处理核心、输出处
理、七段译码器。


1、数字按键输入逻辑 - numinput
说明:读取数字键 0~9。高电平表示按键未按下,低电平表示按键按下。按照 0~9 顺序读取,只能输出
1 位数字。输出 4 位二进制代码,“0000”~“1001”表示 0~9,用“1010”表示无输入。
代码:
library ieee;
use ieee.std_logic_1164.all;
entity numinput is
port( numin:I
电子密码锁设计实验
本地下载

评论

1060598728· 2010-05-05 15:07:10
????????看不了
EEPW网友· 2010-04-11 23:50:32
...see。。。see
张保· 2010-04-04 17:11:53
看看吧。
qxwj870727· 2010-01-06 17:54:54
`````qq
EEPW网友· 2009-12-21 23:49:17
不错
hendeavor· 2009-12-03 07:45:12
怎么下载??
srxz· 2009-08-27 22:33:49
hao aho hao aho
psupgpv· 2009-06-02 23:04:15
EEPW网友· 2009-04-14 15:54:49
不错