首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > 怎样用modelsim做后仿真(适合quatus)

怎样用modelsim做后仿真(适合quatus)

资料介绍
不错的资料,与大家分享
希望以后大家能够把好的资料分享,共同进步!

怎样用modelsim做后仿(编译工具采用quatus)

step1:在qurtus改变编译选项:
assignments->EDA tool setting:选择verilog还是vhdl
[pic]

step2:编译。你会在你的工程所在目录
看到一个simulation的目录,这里面有你生成的网表文件和标准延时文件
step3:在目录:quartus\eda\sim_lib找到你选用器件对应的库文件,将库文件和网表
文件以及延时文件和testbench文件放在同一目录,在modelsim里进行编译库文件、网表
文件以及bench文件
step4:编译成功后,然后进行load,在load
design的时候,需要制定延时文件的路径,以及延时文件作用的区域,延时文件的左右
区域就是testbench里面调用顶层文件取的名字
[pic]
step5:打开signal窗口(view->signal)和wave窗口(view-
>signal),将你希望仿真的信号添加进去。
Step:仿真。。。
怎样用modelsim做后仿真(适合quatus)
本地下载

评论