首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > 用EDA设计LED汉字滚动显示器

用EDA设计LED汉字滚动显示器

资料介绍
不错的资料

|用EDA设计LED汉字滚动显示器 |
|    摘要:本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题 |
|。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究|
|了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA|
|的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具|
|软件加工被显示数据文件的方法进行了讨论。 |
|    关键词:虚拟器件 VHDL语言及应用 EDA技术 |
|采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制|
|约逻辑电路来进行PCB(印制电路板)板级的系统集成。尽管这种方案有 |
|单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的|
|变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代|
|价。 |
|[pic] |
|    |
|随着电子设计自动化(EDA)技术的进展,基于可编程ASIC器件的数字电子|
|系统设计的完整方案越来越受到人们的重视,并且以EDA技术为核心、的 |
|能在可编程ASIC器件上进行系统芯片集成的新设计方法,也正在快速地取|
|代基于PCB板的传统设计方式。
用EDA设计LED汉字滚动显示器
本地下载

评论