首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 无线/通信 > EDA技术的VHDL语言例程集锦

EDA技术的VHDL语言例程集锦

资料介绍
EDA技术的VHDL语言例程集锦
Examples of VHDL Descriptions


Advanced Electronic Design Automation http://www.elecfans.com 电子发烧友


Examples of VHDL Descriptions



Author: Ian Elliott of Northumbria University




This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language when used to describe various types of hardware. The examples range from simple combinational logic, described in
terms of basic logic gates, to more complex systems, such as a behavioural model of a microprocessor and associated memory. All of the examples can be simulated using any IEEE compliant V
标签:EDAVHDL语言
EDA技术的VHDL语言例程集锦
本地下载

评论