首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 无线/通信 > Quartus_II_9.0_使用初级教程学号:201405080011提供

Quartus_II_9.0_使用初级教程学号:201405080011提供

资料介绍
Quartus_II_9.0_使用初级教程


Quartus ??



??




第一步:打开软件

[pic]
??
快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,??
户也可以在菜单栏的下拉菜单找到相应的选项??



??










第二步:新建工程(file>new Project Wizard??
1 工程名称??
[pic]
2添加已有文件(没有已有文件的直接跳过next??
[pic]

[pic]

[pic]
5 工程建立完成(点finish??
[pic]

第三步:添加文件(file>new> VHDL file),新建完成之后要先保存??
[pic]
第四步:编写程序
3-8译码器的VHDL描述源文件如下:
library ieee;
use ieee.std_logic_1164.all;
entity decoder3_8 is
port( A:in std_logic_vector(2 downto 0);
EN:in std_logic;
Y:out std_logic_vector(7 downto 0));
end decoder3_8;
architecture example_1 of decoder3_8 is
signal sel:std_logic_vector(3 downto 0);
begin
sel<=A & EN;
with sel select
Y <= "11111110" when "0001",
"11111101" when "0011",
Quartus_II_9.0_使用初级教程学号:201405080011提供
本地下载

评论