首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 无线/通信 > 基于VHDL语言的几种消抖电路的设计

基于VHDL语言的几种消抖电路的设计

资料介绍
基于VHDL语言的几种消抖电路的设计
基于 VHDL 语言的几种消抖电路的设计

2011-07-19 21:39:08 来源:21ic 关键字: 按键消抖 VHDL D 触发器型消抖电路




引言
按键开关是许多电子产品不可缺少的输入设备,在智能化电子产品中,按键开关作
为人机交互的主要器件之一,可以实现人机对话,完成各种功能操作,而机械式按键开关由
于其低成本、高可靠性被广泛使用。在按键操作时,机械触点的弹性及电压突跳等原因,在
触点闭合或开启的瞬间会出现电压抖动,实际应用中如果不进行处理将会造成误触发。常见
的硬件消抖方法有:利用电容的充放电原理;利用 RS 触发的保持功能;由同相器组成的积
分去抖电路;用反相器组成的翻转式去抖电路;不可重复触发单稳态等等。本文采用 VHDL
语言设计了几种按键开关的消抖电路。
1 计数器型消抖电路
1.1 计数器型消抖电路(一)
计数器型消抖电路(一)是设置一个模值为(N+1)的控制计数器,clk 在上升沿时,如果
按键开关 key_in='1',计数器加 1,key_in='0'时,计数器清零。当计数器值为 2 时,key_out
输出才为 1,其他值为 0 时。计数器值为 N 时处于保持状态。因此按键 key_in 持续时间大
于 N 个 clk 时钟周期时,计数器输出一个单脉冲,否则没有脉冲输出。如果按键开关抖动产
生的毛刺宽度小于 N 个时钟周期,因而毛刺作用不可能使计数器有输出,防抖动目的得以
实现。clk 的时钟周期与 N 的值可以根据按键抖动时间由设计者自行设定。
主要程序结构如下:




图 1 是 N 为 3 的波形仿真图,当按键持续时间大于 3 个时钟周期,计数器输出一个
单脉冲,其宽度为 1 个时钟周期,小于 3 个时钟周
标签:消抖电路设计
基于VHDL语言的几种消抖电路的设计
本地下载

评论

EEPW网友· 2015-03-02 15:36:17
?