首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > crc16的verilog实现

crc16的verilog实现

资料介绍
crc16的verilog实现文档,包含了开辟片内ROM的详细教程
CRC16 原理
1. 基本原理
CRC 校验码是基于将位串看作是系数为 0 或 1 的多项式,一个 k 位的数据流
可以看作是关于 x 的从 k-1 阶到 0 阶的 k-1 次多项式的系数序列。
(x) = 0 + 1 1 + 2 2 + + 1 1
生成多项式 G(x),规定发送方和接收方必须事先商定一个生成多项式 G(x),
其高位和低位必须是 1。要计算 m 位的帧 m(x)的校验和,基本思想是将校验和
加在帧的末尾,使这个带校验和的帧的多项式能被 G(x)除尽。
当接收方收到加有校验和的帧时,用 G(x)去除它,如果有余数,则 CRC 校验
错误,只有没有余数的校验才是正确的。
2. G(x) 应当满足以下条件:
1)生成多项式的最高位和最低位必须为 1。
2)当被传送信息(CRC 码)任何一位发生错误时,被生成多项式做除后应
该使余数不为 0。
3)不同位发生错误时,应该使余数不同。
4)对余数继续做除,应使余数循环。
实际中用的是 CRC 国际标准,各种标准推荐的生成多项式 g(x)如下:



名称 生成多项式 简记式

CRC-4 4 + 1 + 3

CRC-12 12 +11 + 3 + 1 + 80B

CRC-16 16 + 15 + 2 + 8005

CRC-ITU
标签:crc16verilog
crc16的verilog实现
本地下载
该用户资料分享

评论