首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 嵌入式系统 > 手把手教你学习FPGA系列教程_FPGA基础篇之FPGA基础知识简介

手把手教你学习FPGA系列教程_FPGA基础篇之FPGA基础知识简介

资料介绍
手把手教你学习FPGA系列教程_FPGA基础篇之FPGA基础知识简介。
WWW.EEPW.COM.CN



手把手教你学习 FPGA 系列教程
FPGA 基础篇之 FPGA 基础知识简介
1 前言

FPGA 作为一种高新的技术,已经逐渐普及到了各行各业,无论是消费类、
通信类、电子行业都无处不在它的身影,从 1985 年第一颗 FPGA 诞生至今,FPGA
已经历了将近 20 多个年头,从当初的几百个门电路到现在的几百万门、几千万
门……,从原来的上千元的天价到现在几元的超低价,可谓是出现了翻天覆地的
变化。所以当前正是学习 FPGA 的最好时机,无论是社会的需求也好,还是技术
的成熟度也好,已经达到了前所未有的高度,我们可以花更少的成本,并以最快
的速度掌握一种新的前沿技术,对我们的未来发展将会是非常大的帮助。


作为一个 FPGA 的初学者必须要了解以下几个问题:首先要搞清楚什么是
FPGA?了解 FPGA 的基本原理、基本结构以及设计流程。而以上这些内容往往是
初学者不太关心的问题,特别是软件转行的初学者,他们潜意识的认为 FPGA 的
可编程,肯定就是像写软件一样啦。软件编程的思想根深蒂固,看到 Verilog
或者 VHDL 就像看到 C 语言或者其它软件编程语言一样。一条条的读,一条条的
分析。如果初学者始终拒绝去了解为什么 FPGA 是可以编程的,不去了解 FPGA
的内部结构,不关心自己的电路是怎么实现的,要想真正学会 FPGA 恐怕是天方
夜谭。因此初学者要想真正学会 FPGA,还需在 FPGA 基础知识上面下一番功夫。
下面就让我们一起来耐心的学习下 FPGA 方面的基础知识。



2 初识 FPGA

或许您可能听说过 FPGA,但不是很了解;或许您已经知道 FPGA 的存在,但
没有掌握这门
标签:FPGADIY嵌入式
手把手教你学习FPGA系列教程_FPGA基础篇之FPGA基础知识简介
本地下载

评论

y957104· 2014-04-02 15:01:11
下载了,先看看,谢谢!