首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 消费类电子 > calibre_XRC的使用···

calibre_XRC的使用···

资料介绍
Calibre xRC的使用Calibre xRC 的使用

1.版图中的寄生参数

在使用 Calibre xRC 提取寄生参数之前,先介绍一下电路中的寄生参数。一般来讲,寄 生参数有寄生电阻、寄生电容、寄生电感等,其中寄生电阻和寄生电容对电路的影响最为明 显。 在版图中, 各导电层如铝线、 多晶等及导电层之间的接触孔只要有电流通过就会有寄生 电阻。两层导电层之间会存在寄生电容,寄生电容一般可分为本征(intrinsic )和耦合 (coupled)两种,本征电容是指导电层到衬底(substrate)的电容,它有两种类型,如图 1 中所示:#1 为 intrinsic plate 电容,#4 和#5 为 intrinsic fringe 电容;耦合电容 是指导电层在不同网线之间的电容, 图 1 中#2、 #3 和#6 就属于这种, 其中#2 为 nearbody 电容,#3 为 crossover fringe 电容,#6 为 crossover plate 电容。

图1

寄生电容模型

电路中寄生参数的存在给电路的工作造成了一定的影响, 寄生电阻的存在会影响到电路 的功耗,寄生 RC 会影响电路中的信号完整性,等等。所以在版图完成后,必须提取出版图 中的寄生参数,将它们反标入逻辑电路中一起进行仿真,以此来检查版图设计的准确性。

2. Calibre xRC 功能简介

Calibre xRC 提供了多种寄生参数提取解决方案。 它可以根据电路设计的不同要求来提取不同的寄生参数网表, 针对全定制电路和模拟电 路可以提取晶体管级(transistor level)的网表,针对自动布局布线产生的电路可以提取

门级(gate level)网表,针对数模混合电路可以提取混合级(ADMS)的电路网表。 它还可以根据不同的电路分析要求进行提取,针对电路的功耗(Power)分析,只进行 寄生电阻的提取,针
标签:Calibre的使
calibre_XRC的使用···
本地下载

评论