首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 消费类电子 > calibre后仿真参数提取

calibre后仿真参数提取

资料介绍
calibre后仿真使用 Calibre xRC 实现 RFCMOS 电路的寄生参量提取 及后仿真
中国科学院微电子研究所 郭慧民

[摘要]
Calibre xRC 是 Mentor Graphics 公司用于寄生参量提取的工具,其强大的 功能和良好的易用性使其得到业界的广泛认可。本文以采用 RFCMOS 工艺实现的 LNA 为例,介绍使用 Calibre xRC 对 RFCMOS 电路寄生参量提取,以 Calibreview 形式输出以及在 Virtuoso 的 ADE 中直接后仿真的流程。本文还将讨论 Calibre xRC 特有的 XCELL 方式对包含 RF 器件的电路仿真结果的影响。

采用 Calibre xRC 提取寄生参量
采用 RFCMOS 工艺设计低噪声放大器(LNA),其电路图如图 1 所示,版图如图 2 所示。

图 1 LNA 的电路图
1

图 2 LNA 的版图

Calibre 支 持 将 其 快 捷 方 式 嵌 入 在 Virtuoso 平 台 中 。 用 户 只 需 在 自 己.cdsinit 文件中加入以下一行语句: load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" )) 就可以在 virtuoso 的菜单中出现“calibre”一项,包含如下菜单:

点击 Run PEX,启动 Calibre xRC 的 GUI,如图 3 所示。Outputs 菜单中的 Extraction Type 里,第一项通常选择 Transistor Level 或 Gate Level,分别 代表晶体管级提取和门级提取。第二项可以选择 R+C+CC,R+C,R,C+CC,其中 R 代表寄生电阻,C 代表本征寄生电容,CC 代表耦合电容。第三项可以选择 No Inductance,L 或 L+M,分别代表
标签:calibre后仿
calibre后仿真参数提取
本地下载

评论