首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 消费类电子 > VHDL语言学习总结

VHDL语言学习总结

资料介绍
VHDL语言学习总结VHDL 语言学习总结
jackhuan@163.net (初学 VHDL,欢迎批评指正)

1 VHDL 的程序结构
一个 VHDL 程序包含实体 entity、结构体 architecture、配置 configuration、包集 package 和库 library 五部分。 实体,用于描述设计系统的外部接口信号; 结构体,用于描述系统的行为,系统数据的流程或系统组织结构形式; 实体+结构体=设计实体; 实体是设计实体的表层设计单元,其功能是对这个设计实体与外部的电路接口进行描 述,它规定了设计单元的输入、输出接口信号和引脚,是设计实体与外界的一个通信界面; 结构体是用于描述设计实体的内部结构以及实体端口间的逻辑关系, 结构体具体实现一个实 体。 一个电路系统的程序设计只有一个实体,可以有多个结构体。 在实体中类属说明放在端口说明上端, 而其他说明类型说明如数据的定义说明则放在端 口定义的下面。 配置一般用来为实体指定结构体,由于在设计中没有用到配置,故没有做深入研究。 包集是库的一部分, 用来存放库中具有类似功能或在某一个部件中使用的元件、 函数或过程。 库是一个设计中封装所有元器件的总和。

2 VHDL 与 C++的结构类比
上述部分可以和 C++的工程管理方式做一简单比较。 C++中有类的声明部分(一般为.H 文件)和实现部分(一般为.CPP 文件) 。在程序的编 写过程中,我们首先在一个.H 文件中将类的成员变量和成员函数做定义,然后在.CPP 中使 用具体的代码实现它。 这样在应用这个类的其他程序代码中, 一般在引用文件的开始加入如 下语句: #include “***.h” //***代表我们要包含的文件名称 就可以随意使用其内部的类和函数了。 VHDL 有类似之处。 首先我们编写了*.VHD 文件, 内有实体 entity 和结构体 architec
标签:语言学习总结
VHDL语言学习总结
本地下载

评论