首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 消费类电子 > 使用VHDL进行分频器设计

使用VHDL进行分频器设计

资料介绍
使用VHDL进行分频器设计使用 VHDL 进行分频器设计

作者:ChongyangLee

摘要

使用 VHDL 进行分频器设计 作者:ChongyangLee

本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设 计,包括偶数分频、非 50%占空比和 50%占空比的奇数分频、半整数 (N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可 通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使 用的电路,并在 ModelSim 上进行验证。

目录

概述 ....................................................................................................................................... 1 计数器 .................................................................................................................................. 1 普通计数器.................................................................................................................. 1 约翰逊计数器 ............................................................................................................. 3 分频器 .............................
标签:使用进行分频器设
使用VHDL进行分频器设计
本地下载

评论