首页|嵌入式系统|显示技术|模拟IC/电源|元件与制造|其他IC/制程|消费类电子|无线/通信|汽车电子|工业控制|医疗电子|测试测量
首页 > 分享下载 > 消费类电子 > FPGA设计的四种常用思想与技巧

FPGA设计的四种常用思想与技巧

资料介绍
FPGA设计的四种常用思想与技巧本文讨论的四种常用 FPGA/CPLD 设计思想与技巧:乒乓操作、串并转 换、流水线操作、数据接口同步化,都是 FPGA/CPLD 逻辑设计的内在 规律的体现, 合理地采用这些设计思想能在 FPGA/CPLD 设计工作种取 得事半功倍的效果。 FPGA/CPLD 的设计思想与技巧是一个非常大的话题,由于篇幅所 限,本文仅介绍一些常用的设计思想与技巧,包括乒乓球操作、串并 转换、流水线操作和数据接口的同步方法。希望本文能引起工程师们 的注意,如果能有意识地利用这些原则指导日后的设计工作,将取得 事半功倍的效果! 乒乓操作

“乒乓操作”是一个常常应用于数据流控制的处理技巧,典型的 乒乓操作方法如图 1 所示。 乒乓操作的处理流程为: 输入数据流通过“输入数据选择单元” 将数据流等时分配到两个数据缓冲区, 数据缓冲模块可以为任何存储

模块,比较常用的存储单元为双口 RAM(DPRAM)、单口 RAM(SPRAM)、 FIFO 等。在第一个缓冲周期,将输入的数据流缓存到“数据缓冲模 块 1”;在第 2 个缓冲周期,通过“输入数据选择单元”的切换,将 输入的数据流缓存到“数据缓冲模块 2”,同时将“数据缓冲模块 1”缓存的第 1 个周期数据通过“输入数据选择单元”的选择,送到 “数据流运算处理模块”进行运算处理; 在第 3 个缓冲周期通过“输 入数据选择单元”的再次切换, 将输入的数据流缓存到“数据缓冲模 块 1”, 同时将“数据缓冲模块 2”缓存的第 2 个周期的数据通过“输 入数据选择单元”切换,送到“数据流运算处理模块”进行运算处 理。如此循环。 乒乓操作的最大特点是通过“输入数据选择单元”和“输出数 据选择单元”按节拍、相互配合的切换,将经过缓冲的数据流没有停 顿地送到“数据流运算处理模块”进行运算与处理。 把乒乓操作模块 当做一个整体,站在这个模块的两端看数据,输入数
标签:设计的四种常用思想与技巧
FPGA设计的四种常用思想与技巧
本地下载

评论